Tang Primer 25K

概述

Tang Primer 25K 是基于 GW5A-LV25MG121 所设计的一款极小封装的核心板(23x18mm),并配套全引脚引出(除MIPI高速脚外)的25K Dock底板。

极小的核心板尺寸可以应用于任何体积受限的场景。
简洁的底板可以连接USB手柄,插接40Pin SDRAM模块,3个PMOD接口可以连接HDMI显示器,PS2手柄组成典型的RetroGame主机形态。
也可以搭配Sipeed出的系列PMOD模块产品,作为FPGA大学教学使用。

购买链接:淘宝

核心板概览

基础参数

项目 参数 补充
FPGA 芯片 GW5A-LV25MG121
逻辑单元(LUT4) 23040
寄存器(FF) 23040
分布式静态随机存储器S-SRAM(bits) 180K
块状静态随机存储器B-SRAM(bits) 1008K
块状静态随机存储器数目B-SRAM(个) 56
乘法器(18x18 Multiplier) 28
锁相环(PLLs) 6
I/O Bank 总数 8
Flash 64Mbits NOR Flash 查看 烧录到Flash
整体封装 2x60P BTB 核心板
普通IO 75
MIPI IO 4lane Data

Dock 底板产品图

板卡参数

项目 参数 备注
调试器 板载高速调试器,支持JTAG+UART,使用USB-C口烧录
USB-A 一个,可作为USB1.1 Host接游戏手柄等HID设备
IO插针 一个2x20Pin 2.54插针 支持SDRAM模块
PMOD 3个
按键 2个
尺寸 64x40mm

硬件资料

规格书、原理图、尺寸图等均可在这里找到:点击这里

上手简明

准备开发环境 -> 学习相关语法 -> 查看开箱指南 -> 基础代码编写 -> 查看官方文档

  1. 安装 IDE :点击这里

  2. 查看 上手指南 来避免一些问题,并且从那里面可以开始进行代码实战。

  3. 如果进行完上面的点灯操作后后感觉有压力,可以自己查漏补缺:
    可以在下面的这些网站学习 Verilog:

    • 在线免费教程:Verilog 教程(学习Verilog)
    • 在线免费 FPGA 教程:Verilog (英文网站)
    • Verilog 刷题网站:HDLBits(英文网站)
    • 在线高云半导体可参考视频教程:点击这里

    对 IDE 使用有疑问的话,可以查看官方的一些文档来熟悉相关内容

    上面的所有文档都已经打包进了下载站点我跳转,需要的话可以点击压缩包全都下载下来。

例程汇总

注意25K需要使用 V1.9.9Beta-4 或更新的IDE版本。
http://www.gowinsemi.com.cn/faq.aspx

公开例程

github 链接: https://github.com/sipeed/TangPrimer-25K-example

交流方式

相关问题

如何下载到外部 FLASH

进行如下选项设置:

flash_mode

烧录后没反应或者引脚现象不对

首先确定选择了正确的型号,下图中的每一个参数都要求一致

device_choose

然后检查自己的代码和对应的仿真波形是否满足要求

更多问题及其解决办法前往相关问题查看